[FPGA] FPGA基础入门教程 - 004 - 第五章 用ISE创建一个项目

第四章 用ISE创建一个项目

概览

我们在前面的章节中已经提到,本教程使用的平台是Xilinx的Spartan-6硬件平台,开发工具使用的是ISE。与此同时,在Verilog的章节介绍中,我们也使用了一个实例来对verilog编程方法、架构进行说明。那么在本章节中,我们将同样使用这个实例代码,将之部署到ISE上,完成程序的综合、布局布线、配置文件生成与下载。

新建Project

项目名与路径的选择

项目的初始设置

加入RTL代码

创建新模块

加入已有代码

综合

实现

加入约束文件

实现

时序分析

配置

生成配置文件

下载